aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec06.vhdl
blob: 5e9a16186216132fd20bb83f60355f5132c07126 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

package rec06_pkg is
  type myrec2 is record
    c : natural range 0 to 3;
    d : unsigned (3 downto 0);
  end record;

  type myrec is record
    a : myrec2;
    b : std_logic;
  end record;
end rec06_pkg;