aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec06.vhdl
blob: 5e6b4ae4539ec5797283b3eccc837e2f8a0e90a2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rec06_pkg.all;

entity rec06 is
  port (inp : myrec;
        o : out std_logic);
end rec06;

architecture behav of rec06 is
begin
  o <= inp.b when inp.a.d > inp.a.c else '0';
end behav;