aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec04.vhdl
blob: 3fab4012b3cf95220026635570fe74076b4d4c21 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec04_pkg.all;

entity rec04 is
  port (inp : myrec;
        o : out std_logic);
end rec04;

architecture behav of rec04 is
begin
  o <= '1' when inp.a (1) = inp.b else '0';
end behav;