aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec03.vhdl
blob: f50e5eb09c9826d19ed98b23a56022ac3994dff2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec03_pkg.all;

entity rec03 is
  port (inp : myrec;
        o : out std_logic);
end rec03;

architecture behav of rec03 is
begin
  o <= inp.b when inp.a = s2 else '1';
end behav;