aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec02.vhdl
blob: ae82df551c3f9adc49ceebbe39585f474afb60d5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec02_pkg.all;

entity rec02 is
  port (inp : myrec;
        o : out std_logic);
end rec02;

architecture behav of rec02 is
begin
  o <= inp.b when inp.a > 3 else '0';
end behav;