aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec01.vhdl
blob: 2d26098f890dd4eea80ed27e01ac4937e4cb51bd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec01_pkg.all;

entity rec01 is
  port (inp : myrec;
        o : out std_logic);
end rec01;

architecture behav of rec01 is
begin
  o <= inp.a or inp.b;
end behav;