aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec05.vhdl
blob: c73c67f058495438296b8b826b6a2273bce45ce6 (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

package rec05_pkg is
  type myrec is record
     a : unsigned (3 downto 0);
     b : std_logic;
  end record;
end rec05_pkg;