aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec04.vhdl
blob: 01cb4da3f971376ab13f9f41b4dc56494c693b7e (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

package rec04_pkg is
  type myrec is record
     a : std_logic_vector (3 downto 0);
     b : std_logic;
  end record;
end rec04_pkg;