aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec03.vhdl
blob: 2c6204201d5e1233ff9d8eb3c413fadb5cea77f2 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

package rec03_pkg is
  type myenum is (s0, s1, s2, s3);

  type myrec is record
     a : myenum;
     b : std_logic;
  end record;
end rec03_pkg;