aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec02.vhdl
blob: 5b5b788503ada738693a62b18e2244779e4b047c (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

package rec02_pkg is
  type myrec is record
     a : natural range 0 to 5;
     b : std_logic;
  end record;
end rec02_pkg;