aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec01.vhdl
blob: c2048c3eab1cbf3295db096a1d0480a6d7984f07 (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

package rec01_pkg is
  type myrec is record
     a : std_logic;
     b : std_logic;
  end record;
end rec01_pkg;