aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff03/dff07.vhdl
blob: 428b527f4b7b049b8508913b90042bcb4aef09f8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity dff07 is
  port (q1, q2 : out std_logic;
        d : std_logic;
        en1 : std_logic;
        en2 : std_logic;
        clk : std_logic);
end dff07;

architecture behav of dff07 is
begin
  process (clk) is
  begin
    if rising_edge (clk) and en1 = '1' then
      if en2 = '1' then
        q1 <= d;
        q2 <= d;
      end if;
    end if;
  end process;
end behav;