aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff03/dff06.vhdl
blob: cf6d08a67fb5000e3ef2182bccfb6fbd74df156c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity dff06 is
  port (q : out std_logic;
        d : std_logic;
        en1 : std_logic;
        en2 : std_logic;
        clk : std_logic);
end dff06;

architecture behav of dff06 is
begin
  process (clk) is
  begin
    if (en2 = '1' and en1 = '1') and rising_edge (clk) then
      q <= d;
    end if;
  end process;
end behav;