aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff03/dff04.vhdl
blob: 58d1f9b32a04aa83e57a8e179ee7af9cf424c37e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity dff04 is
  port (q : out std_logic;
        d : std_logic;
        en1 : std_logic;
        en2 : std_logic;
        clk : std_logic);
end dff04;

architecture behav of dff04 is
begin
  process (clk) is
  begin
    if en2 = '1' and (rising_edge (clk) and en1 = '1') then
      q <= d;
    end if;
  end process;
end behav;