aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff03/dff03.vhdl
blob: 0d2291c3a4c9d41170ac46034cd701aca9babb32 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity dff03 is
  port (q : out std_logic;
        d : std_logic;
        en1 : std_logic;
        en2 : std_logic;
        clk : std_logic);
end dff03;

architecture behav of dff03 is
begin
  process (clk) is
  begin
    if (rising_edge (clk) and en1 = '1') and en2 = '1' then
      q <= d;
    end if;
  end process;
end behav;