aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff02/dff08d.vhdl
blob: d17b9d602ec59ab445c23d4edd56d65f9d4bd253 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity dff08d is
  port (q : out std_logic_vector(7 downto 0);
        d : std_logic_vector(7 downto 0);
        clk : std_logic;
        en : std_logic;
        rst : std_logic);
end dff08d;

architecture behav of dff08d is
  constant c : std_logic_vector(7 downto 0) := x"aa";
  signal p : std_logic_vector(7 downto 0) := c;
begin
  process (clk, rst) is
  begin
    if rst = '1' then
      p <= c;
    elsif rising_edge (clk) then
      p <= d;
    end if;
  end process;
  q <= p;
end behav;