aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff02/dff06.vhdl
blob: a8cad2c041c7e92a79da5f4a07da850e7d1197b9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity dff06 is
  port (q : out std_logic_vector(7 downto 0);
        d : std_logic_vector(7 downto 0);
        clk : std_logic;
        rst : std_logic);
end dff06;

architecture behav of dff06 is
  signal p : std_logic_vector(7 downto 0);
begin
  process (clk, rst) is
  begin
    if rst = '1' then
      p <= x"00";
    elsif rising_edge (clk) then
      q <= d;
    end if;
  end process;
end behav;