aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/tb_dff04.vhdl
blob: 1d3b3146fc1cb2f5270be89c0d8e8a743001dbf0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
entity tb_dff04 is
end tb_dff04;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dff04 is
  signal clk : std_logic;
  signal din : std_logic_vector (7 downto 0);
  signal dout : std_logic_vector (7 downto 0);
begin
  dut: entity work.dff04
    port map (
      r => dout,
      d => din,
      clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    din <= x"00";
    pulse;
    assert dout = x"01" severity failure;
    din <= x"ab";
    pulse;
    assert dout = x"ac" severity failure;
    pulse;
    assert dout = x"ac" severity failure;
    din <= x"12";
    pulse;
    assert dout = x"13" severity failure;
    wait;
  end process;
end behav;