aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff15.vhdl
blob: 258c263c1c982211c02994d883a1a3480fe2aca2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity dff15 is
  port (q : out std_logic;
        d : std_logic;
        clk : std_logic);
end dff15;

architecture behav of dff15 is
begin
  process (clk) is
    variable m : std_logic;
  begin
    if rising_edge (clk) then
      m := d;
    end if;
    q <= not m;
  end process;
end behav;