aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff10.vhdl
blob: 5a111c7b5d6a5990f9e5951ccde3562a30fa9170 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity dff10 is
  port (q : out std_logic_vector(7 downto 0);
        d : std_logic_vector(7 downto 0);
        clk : std_logic;
        rst : std_logic;
        en : std_logic);
end dff10;

architecture behav of dff10 is
begin
  process (clk, rst) is
    constant rval : std_logic_vector(7 downto 0) := x"55";
  begin
    if rst = '1' then
      q <= rval;
    elsif rising_edge (clk) then
      if en = '1' then
        q <= d;
      end if;
    end if;
  end process;
end behav;