aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff09.vhdl
blob: 9dcf4065a02cad4b80436f30859ca60a76abbb04 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity dff09 is
  port (q : out std_logic;
        d : std_logic;
        clk : std_logic;
        rstn : std_logic);
end dff09;

architecture behav of dff09 is
begin
  process (clk, rstn) is
    constant rval : std_logic := '0';
  begin
    if rstn = '0' then
      q <= rval;
    elsif rising_edge (clk) then
      q <= d;
    end if;
  end process;
end behav;