aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff05.vhdl
blob: 182dd737db43ad5f66b0eeda2ea481a973a4b995 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity dff05 is
  port (q : out std_logic_vector(7 downto 0);
        d : std_logic_vector(7 downto 0);
        clk : std_logic;
        rst : std_logic;
        en : std_logic);
end dff05;

architecture behav of dff05 is
begin
  process (clk, rst) is
  begin
    if rst = '1' then
      q <= x"00";
    elsif rising_edge (clk) then
      if en = '1' then
        q <= d;
      end if;
    end if;
  end process;
end behav;