aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/const01/tb_const01.vhdl
blob: b5fad58336ac742e99ff851d47225fdc60693b15 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_const01 is
end tb_const01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_const01 is
  signal res : std_logic_vector(31 downto 0);
begin
  dut: entity work.const01
    port map (res);

  process
  begin
    wait for 1 ns;
    assert res = x"01020304" severity failure;

    wait;
  end process;
end behav;