aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp04/comp06.vhdl
blob: 0d696055e09bdd08b7f46f661a10c29200794533 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;

entity mand is
  port (l : std_logic_vector;
        r : std_logic_vector := x"7c";
        o : out std_logic_vector);
end mand;

architecture behav of mand is
begin
  o <= l and r;
end behav;

library ieee;
use ieee.std_logic_1164.all;

entity comp06 is
  port (v : std_logic_vector (7 downto 0);
        r : out std_logic_vector (7 downto 0));
end;

architecture behav of comp06 is
begin
  dut : entity work.mand
    port map (l => v, o => r);
end behav;