aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp04/comp05.vhdl
blob: cf36bd598d16bff71b09796494ab111b135765c7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
library ieee;
use ieee.std_logic_1164.all;

entity mand is
  port (v : std_logic_vector (7 downto 0);
        b : out std_logic;
        r : out std_logic_vector (7 downto 0));
end mand;

architecture behav of mand is
begin
  r <= not v;
  
  process (v)
  begin
    b <= '1';
    for i in v'range loop
      if v (i) = '0' then
        b <= '0';
        exit;
      end if;
    end loop;
  end process;
end behav;

library ieee;
use ieee.std_logic_1164.all;

entity comp05 is
  port (v : std_logic_vector (7 downto 0);
        r : out std_logic_vector (7 downto 0));
end;

architecture behav of comp05 is
  component mand is
    port (
      r : out std_logic_vector (7 downto 0);
      b : out std_logic;  
      v : std_logic_vector (7 downto 0));
  end component;

  signal b : std_logic;
begin
  dut : mand
    port map (v => v,
              b => b,
              r => r);
end behav;