aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp02/cmask.vhdl
blob: 9b1f2438c2311c7a6c806340518576e1a1db864b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity cmask is
  generic
    (mask : std_logic_vector (0 to 7));
  port (d : std_logic_vector (7 downto 0);
        o : out std_logic_vector (7 downto 0));
end cmask;

architecture behav of cmask is
begin
  o <= d and mask;
end behav;