aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case01/tb_case04.vhdl
blob: ea3b6b5e654baec2f33e9e9a115a816fb3b6a89c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity tb_case04 is
end tb_case04;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_case04 is
  signal s : std_logic_vector (4 downto 0);
  signal o : std_logic;
begin
  dut: entity work.case04
    port map (s, o);

  process
  begin
    s <= "00010";
    wait for 1 ns;

    assert o = '1' severity failure;

    wait;
  end process;
end behav;