aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case01/tb_case03.vhdl
blob: 7706b77c5e8a6edebc1b821ac9e99c59d124ee39 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity tb_case03 is
end tb_case03;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_case03 is
  signal s : std_logic_vector (4 downto 0);
  signal o : std_logic;
begin
  dut: entity work.case03
    port map (s, o);

  process
  begin
    s <= "10011";
    wait for 1 ns;
    assert o = '0' severity failure;

    s <= "00000";
    wait for 1 ns;
    assert o = '0' severity failure;

    wait;
  end process;
end behav;