aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case01/case05.vhdl
blob: 82f6ff5cf6c37a9a0d72df9ba1271e74fb78fcf5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity case05 is
    port (
	in_en  : std_logic;
	in_v  : std_logic_vector(3 downto 0)
	);
end entity case05;

architecture behav of case05 is
begin
    process(in_en, in_v)
	variable l : boolean;
    begin
	if in_en = '1' then
	    case in_v is

	    when "0010" =>
		l := in_v = "0000";
	    when others =>
		report "illegal";
	    end case;
	end if;
    end process;
end architecture behav;