aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case01/case04.vhdl
blob: cf90e85ed4fb0d731c6249fbda6ac742c2ae29a1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;

entity case04 is
  port (a : std_logic_vector (4 downto 0);
        o : out std_logic);
end case04;

architecture behav of case04 is
begin
  process (a)
  begin
    o <= '0';
    case a is
      when others =>
        o <= '1';
    end case;
  end process;
end behav;