aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case01/case03.vhdl
blob: 3f1894afaa6b78b173964640ebfa6d7147b66400 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity case03 is
  port (a : std_logic_vector (4 downto 0);
        o : out std_logic);
end case03;

architecture behav of case03 is
begin
  with a select o <=
    '0' when others;
end behav;