aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/case01/case01.vhdl
blob: f20e41cb59365ac015465fcc7f9b3e92a301bee0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;

entity case01 is
  port (a : std_logic_vector (4 downto 0);
        o : out std_logic);
end case01;

architecture behav of case01 is
begin
  process (a)
  begin
    o <= '0';
    case a is
      when "00011" =>
        o <= '1';
      when "00110" | "00111" | "10001" =>
        o <= '1';
      when "00100" =>
      when "01100" =>
        o <= '1';
      when "10000" =>
        o <= '1';
      when others =>
        o <= '0';
    end case;
  end process;
end behav;