aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/bug02/repro1.vhdl
blob: f138f17dc07bf7d0cd14d5654e28607ed2db30c9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity repro1 is
  port (clk : std_logic;
        o1 : out std_logic;
        o2 : out std_logic);
end repro1;

architecture behav of repro1 is
  signal v : natural range 0 to 0;
begin
  process (clk)
  begin
    if rising_edge(clk) then
      o1 <= '1';
      v <= 0;
    end if;
  end process;

  o2 <= '1' when v = 0 else '0';
end behav;