aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/tb_succ01.vhdl
blob: 8ff2d31dc0d98df858027432fab8ddae0ba9ef03 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tb_succ01 is
end tb_succ01;

architecture behav of tb_succ01 is
  signal i, o : integer;
begin
  dut: entity work.succ01
    port map (i, o);

  process
  begin
    i <= 5;
    wait for 1 ns;
    assert o = 6 severity failure;
    wait;
  end process;
end behav;