aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/tb_rightof01.vhdl
blob: 40c56767852620da76bbc566e32ec3a024f978c6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tb_rightof01 is
end tb_rightof01;

architecture behav of tb_rightof01 is
  signal i, o : integer := 9;
begin
  dut: entity work.rightof01
    port map (i, o);

  process
  begin
    i <= 5;
    wait for 1 ns;
    assert o = 6 severity failure;
    wait;
  end process;
end behav;