aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/leftof01.vhdl
blob: 8683fd134d088def308c7468bf8e23355c18ba41 (plain)
1
2
3
4
5
6
7
8
9
entity leftof01 is
  port (i : integer;
        o : out integer);
end leftof01;

architecture behav of leftof01 is
begin
  o <= integer'leftof(i);
end behav;