aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/assumeasserts0/testsuite.sh
blob: bc0544ae2ce38265603a3b3976a3c72c80505582 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
#! /bin/sh

. ../../testenv.sh

UNIT=assume0
GHDL_STD_FLAGS=--std=08

synth_only $UNIT

# There should be no assert gate without assert-assume option.
if grep -q -e "--  assert" syn_$UNIT.vhdl; then
  exit 1
fi

# There should be an assume gate with assume-assert option.
if ! grep -q -e "--  assume" syn_$UNIT.vhdl; then
  exit 1
fi

GHDL_FLAGS=--assume-asserts

synth_only $UNIT

# There should be an assert gate with assume-assert option.
if ! grep -q -e "--  assert" syn_$UNIT.vhdl; then
  exit 1
fi

# There should be no assume gate with assert-assume option.
if grep -q -e "--  assume" syn_$UNIT.vhdl; then
  exit 1
fi

clean

echo "Test successful"