aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/assumeasserts0/assume0.vhdl
blob: a2b9ff40985ef53a3fd26a6c834b70a341b6a6d2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity assume0 is
  port (
    clk : in  std_logic;
    i   : out integer
  );
end assume0;

architecture behav of assume0 is

begin

  i <= 1;

  default clock is rising_edge(clk);

  psl_a : assume always i = 1;

end behav;