aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/assertassumes0/assert0.vhdl
blob: 8e25ec87d2733a8b591c7ab74d50cc6cc90fd809 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity assert0 is
  port (
    clk : in  std_logic;
    i   : out integer
  );
end assert0;

architecture behav of assert0 is

begin

  i <= 1;

  default clock is rising_edge(clk);

  psl_a : assert always i = 1;

end behav;