aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/asgn03.vhdl
blob: 0b341c8c67c8f4a1ad89a83f772cbb266c8c1d17 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity asgn03 is
  port (s0 : std_logic;
        s1 : std_logic;
        r : out std_logic_vector (2 downto 0));
end asgn03;

architecture behav of asgn03 is
begin
  process (s0, s1) is
  begin
    r <= "000";
    if s0 = '1' then
      r (1) <= '1';
      if s1 = '1' then
        --r(1 downto 0) <= "01";
        r(0) <= '1';
      end if;
    end if;
  end process;
end behav;