aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr02/tb_targ02.vhdl
blob: c63d4188dfeb54d43c792601e243ff5418f29c53 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity tb_targ02 is
end tb_targ02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_targ02 is
  signal o0 : std_logic;
  signal o1 : std_logic;
  signal o2 : std_logic;
begin
  dut: entity work.targ02
    port map (o0, o1, o2);

  process
  begin
    wait for 1 ns;
    assert o2 = '0' and o1 = '0' and o0 = '1' severity failure;

    wait;
  end process;
end behav;