aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr02/tb_targ01.vhdl
blob: 7f4efd64a375964a557f557e2dfcbe4899da6fca (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity tb_targ01 is
end tb_targ01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_targ01 is
  signal v : std_logic_vector(2 downto 0);
  signal o0 : std_logic;
  signal o1 : std_logic;
  signal o2 : std_logic;
begin
  dut: entity work.targ01
    port map (v, o0, o1, o2);

  process
  begin
    v <= "010";
    wait for 1 ns;
    assert o2 = '0' and o1 = '1' and o0 = '0' severity failure;

    v <= "101";
    wait for 1 ns;
    assert o2 = '1' and o1 = '0' and o0 = '1' severity failure;

    wait;
  end process;
end behav;