aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr02/targ02.vhdl
blob: 029918f65d72a9ee53723f50b58b3a92069e2cb7 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity targ02 is
  port (o0, o1, o2 : out std_logic);
end targ02;

architecture behav of targ02 is
begin
  (o2, o1, o0) <= std_logic_vector'("001");
end behav;