aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr02/targ01.vhdl
blob: f794fc8dcbf2b636399cd5506c5da58a8f67e580 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity targ01 is
  port (v : std_logic_vector (2 downto 0);
        o0, o1, o2 : out std_logic);
end targ01;

architecture behav of targ01 is
begin
  (o2, o1, o0) <= v;
end behav;