aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr01/tb_aggr03.vhdl
blob: 4f4687041ec2522e7924449506ca1681b76e4008 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity tb_aggr03 is
end tb_aggr03;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_aggr03 is
  signal a, b : std_logic_vector(7 downto 0);
begin
  dut: entity work.aggr03
    port map (a, b);

  process
  begin
    a <= x"ff";
    wait for 1 ns;
    assert b = x"ff" severity failure;

    a <= x"ee";
    wait for 1 ns;
    assert b = x"ef" severity failure;

    a <= x"50";
    wait for 1 ns;
    assert b = x"53" severity failure;

    wait;
  end process;
end behav;