aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/aggr01/tb_aggr02.vhdl
blob: 39570d78801d1202182f5aee8fc029a057ef25de (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity tb_aggr02 is
end tb_aggr02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_aggr02 is
  signal a, b : std_logic_vector(7 downto 0);
begin
  dut: entity work.aggr02
    port map (a, b);

  process
  begin
    a <= x"ff";
    wait for 1 ns;
    assert b = x"fe" severity failure;

    a <= x"ee";
    wait for 1 ns;
    assert b = x"ee" severity failure;

    wait;
  end process;
end behav;