aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/units01/demo.vhdl
blob: ed98c936a158a6a2e655ca5809f25019b0755ce2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity e1 is
port (
  CLK: in std_logic;
  RST: in std_logic;
  Q:  out std_logic_vector(7 downto 0)
);
end e1;

architecture behav of e1 is
begin
  assert false report "arch" severity note;
end behav;