aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors/tc.vhdl
blob: 6308aeb6f4c7b04d6584895916d109ca7a5b153c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity tb is
end tb;

architecture behav of tb is
    signal s : std_logic_vector(7 downto 0);
begin
    assert s /= x"73";
end behav;