aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/StopWatch.py
blob: deab50a9f1f108df23206b0ae3ed6f63293142ef (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
# =============================================================================
#               ____ _   _ ____  _          _
#  _ __  _   _ / ___| | | |  _ \| |      __| | ___  _ __ ___
# | '_ \| | | | |  _| |_| | | | | |     / _` |/ _ \| '_ ` _ \
# | |_) | |_| | |_| |  _  | |_| | |___ | (_| | (_) | | | | | |
# | .__/ \__, |\____|_| |_|____/|_____(_)__,_|\___/|_| |_| |_|
# |_|    |___/
# =============================================================================
# Authors:
#   Patrick Lehmann
#
# Testsuite:        Check libghdl IIR translation with a simple package.
#
# License:
# ============================================================================
#  Copyright (C) 2019-2021 Tristan Gingold
#
#  This program is free software: you can redistribute it and/or modify
#  it under the terms of the GNU General Public License as published by
#  the Free Software Foundation, either version 2 of the License, or
#  (at your option) any later version.
#
#  This program is distributed in the hope that it will be useful,
#  but WITHOUT ANY WARRANTY; without even the implied warranty of
#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
#  GNU General Public License for more details.
#
#  You should have received a copy of the GNU General Public License
#  along with this program.  If not, see <gnu.org/licenses>.
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
from time import perf_counter_ns as time_perf_counter
from pathlib import Path
from textwrap import dedent
from typing import Dict, List
from unittest import TestCase

from pyTooling.Graph import Vertex

import pyVHDLModel
import pyVHDLModel.DesignUnit
from pyGHDL.dom.NonStandard import Design, Document
from pyGHDL.dom.formatting.GraphML import DependencyGraphFormatter, HierarchyGraphFormatter, CompileOrderGraphFormatter
from pyGHDL.dom.formatting.prettyprint import PrettyPrint
from pyVHDLModel import DependencyGraphVertexKind, DependencyGraphEdgeKind, Library

if __name__ == "__main__":
    print("ERROR: you called a testcase declaration file as an executable module.")
    print("Use: 'python -m unitest <testcase module>'")
    exit(1)


class Designs(TestCase):
    _root = Path(__file__).resolve().parent.parent
    _sourceDirectory: Path = _root / "dom/examples/StopWatch"

    _packageFiles = (
        ("lib_Utilities", Path("Utilities.pkg.vhdl")),
        ("lib_Utilities", Path("Utilities.ctx.vhdl")),
        ("lib_StopWatch", Path("StopWatch.pkg.vhdl")),
        ("lib_StopWatch", Path("StopWatch.ctx.vhdl")),
    )
    _encoderFiles = _packageFiles + (
        ("lib_StopWatch", Path("seg7_Encoder.vhdl")),
        ("lib_StopWatch", Path("toplevel.Encoder.vhdl")),
    )
    _displayFiles = _packageFiles + (
        ("lib_StopWatch", Path("Counter.vhdl")),
        ("lib_StopWatch", Path("seg7_Encoder.vhdl")),
        ("lib_StopWatch", Path("seg7_Display.vhdl")),
        ("lib_StopWatch", Path("seg7_Display.cfg.vhdl")),
        ("lib_StopWatch", Path("toplevel.Display.vhdl")),
    )
    _stopwatchFiles = _packageFiles + (
        ("lib_Utilities", Path("Counter.vhdl")),
        ("lib_StopWatch", Path("seg7_Encoder.vhdl")),
        ("lib_StopWatch", Path("seg7_Display.vhdl")),
        ("lib_StopWatch", Path("seg7_Display.cfg.vhdl")),
        ("lib_StopWatch", Path("StopWatch.vhdl")),
        ("lib_Utilities", Path("sync_Bits.vhdl")),
        ("lib_Utilities", Path("Debouncer.vhdl")),
        ("lib_StopWatch", Path("toplevel.StopWatch.vhdl")),
    )


class Display(Designs):
    def test_Encoder(self):
        design = Design()
        for lib, file in self._encoderFiles:
            library = design.GetLibrary(lib)
            document = Document(self._sourceDirectory / file)
            design.AddDocument(document, library)

        self.assertEqual(len(self._encoderFiles), len(design.Documents))

    def test_Display(self):
        design = Design()
        for lib, file in self._displayFiles:
            library = design.GetLibrary(lib)
            document = Document(self._sourceDirectory / file)
            design.AddDocument(document, library)

        self.assertEqual(len(self._displayFiles), len(design.Documents))

    def test_StopWatch(self):
        design = Design()
        for lib, file in self._stopwatchFiles:
            library = design.GetLibrary(lib)
            document = Document(self._sourceDirectory / file)
            design.AddDocument(document, library)

        self.assertEqual(len(self._stopwatchFiles), len(design.Documents))


class CompileOrder(Designs):
    def test_Encoder(self):
        print()
        design = Design()
        design.LoadDefaultLibraries()
        t1 = time_perf_counter()
        for lib, file in self._stopwatchFiles:
            library = design.GetLibrary(lib)
            document = Document(self._sourceDirectory / file)
            design.AddDocument(document, library)
            print(dedent("""\
                file: {}
                  libghdl processing time: {:5.3f} us
                  DOM translation time:    {:5.3f} us
                """
                ).format(
                    document.Path,
                    document.LibGHDLProcessingTime * 10**6,
                    document.DOMTranslationTime * 10**6,
                )
            )
        pyGHDLTime = time_perf_counter() - t1

        design.Analyze()

        toplevel = [root.Value.Identifier for root in design.HierarchyGraph.IterateRoots()]

        print(dedent("""
            pyGHDL:
              sum:                       {:5.3f} us
            Analysis:
              default library load time: {:5.3f} us
              dependency analysis time:  {:5.3f} us
            Toplevel:                    {toplevel}
            Compile order:\
            """
            ).format(
                pyGHDLTime * 10**6,
                design._loadDefaultLibraryTime * 10**6,
                design._analyzeTime * 10**6,
                toplevel=", ".join(toplevel)
            )
        )
        for i, vertex in enumerate(design.IterateDocumentsInCompileOrder()):
            print(f"  {i:<2}: {vertex.Value.Path.relative_to(Path.cwd())}")

        graphML = Path("dependencies.graphml")
        dependencyFormatter = DependencyGraphFormatter(design.DependencyGraph)
        dependencyFormatter.WriteGraphML(graphML)

        graphML = Path("hierarchy.graphml")
        hierarchyFormatter = HierarchyGraphFormatter(design.HierarchyGraph)
        hierarchyFormatter.WriteGraphML(graphML)

        graphML = Path("compileorder.graphml")
        compileOrderFormatter = CompileOrderGraphFormatter(design.CompileOrderGraph)
        compileOrderFormatter.WriteGraphML(graphML)

        # PP = PrettyPrint()
        # buffer = []
        # buffer.append("Design:")
        # for line in PP.formatDesign(design, 1):
        #     buffer.append(line)
        # print("\n".join(buffer))