aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket81/repro.vhdl
blob: be0781e724581f4bd10933457e30a154c2e22820 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity repro is
end;

architecture behav of repro is
  function zeros (a, b : bit_vector) return bit_vector is
    constant res : bit_vector (a'length + b'length - 1 downto 0) := (others => '0');
  begin
    return res;
  end;
begin
end behav;